晶格辐射版本历史

辐射3.0

  • 设备支持:亚搏国际网址
    • CertusPro™-NX Device Family (LFCPNX):
      • 100k (-7/-8/-9) hp / lp 1.0v (com/ind
      • 100k (-7/-8/-9) hp / lp 1.0v (com/ind
      • 100k (-7/-8/-9) hp / lp 1.0v (com/ind) - lfg672
      • 100K设备位流启用
  • 工具和其他增强功能:
    • 设备选择器-当在辐射软件中创建一个新项目时,设备选择器现在默认为LICFL (CrossLink-NX),性能等级:9_High-Performance_1.0 V,部件编号:LICFL-17- 9bg256c。同样,设备选择器现在在设备列表中显示设备部件名称和设备族名称。例如:LIFCL (CrossLink-NX)。
    • FPGA库已经为CertusPro-NX Device Family (LFCPNX)添加了FPGA库。
    • 许可证调试—增加了许可调试功能,以指定许可文件的位置,并在Help >许可调试菜单中显示可用的特性。
    • 安全设置工具—安全设置工具现在支持。pem和。der文件格式。亚搏国际网址
    • 信号的可追溯性-这个新功能允许您从报告视图和输出窗口跟踪信号,并在Netlist分析器显示信号。
    • 教程- Lattice Radiant 3.0 Tutorial with CrossLink-NX (LIFCL)增加了对最新Cros亚搏国际网址sLink-NX评估板修订版b的支持,增加了对芯片编程和板上逻辑分析的任务。
    • 统一约束和时序分析流程-预合成时间约束编辑器现在工作的晶格合成引擎(LSE)和Synplify Pro。

2.2.1辐射更新

  • 设备支持:亚搏国际网址
    • 交联nx汽车设备(LIFCL):
      • 17k (-7) hp / lp 1.0v - csfbga121
      • 17k (-7) hp / lp 1.0v - cabga256
      • - csfbga121, 40k (-7) hp / lp 1.0v
      • 40k (-7) hp / lp 1.0v - cabga256
      • 启用17K和40K设备的比特流。
    • CrossLink-NX (LIFCL) & Certus-NX (LFD2NX) PSR for C/I
  • 工具和其他增强功能:
    • 功率计算器,已对I/O、MIPIDPHY、PCIE进行更新。
    • 导师ModelSim晶格版ModelSim Lattice Edition已更新至2021.02版本

辐射2.2

  • 设备支持:亚搏国际网址
    • 交联nx设备家族(LIFCL)
      • 启用17K和40K设备的比特流。
    • Certus-NX器件系列(LFD2NX):
      • 40k (-7/-8/-9) hp / lp 1.0v (com/ind) - cabga196
      • 电源:40k (-7/-8/-9) hp / lp 1.0v (com/ind
      • 启用40K设备比特流
  • 工具和其他增强功能:
    • 程序员—支亚搏国际网址持全加密和鉴权
    • 仿真工具的变化- Mentor ModelSim®是与Radiant软件配套的新的OEM模拟工具。ModelSim替代Aldec-Active HDL™。
      注意:对于路由后模拟,请使用ModelSim PE的完整版本。OEM版本将在即将发布的软件中解决
    • 揭示显示对CrossLink-NX (LIFCL)和Certu亚搏国际网址s-NX设备家族的分析仪/控制器支持。
    • 软错误注入-软错误注入(SEI)编辑器允许您生成单位错误,将它们插入位流,并检测它们进行分析,模拟辐射损伤对设备配置内存的影响

辐射2.1

  • 设备支持:亚搏国际网址
    • Certus™-NX Device Family (LFD2NX)提供以下40K设备:
      • 40K (-7/-8/-9) HP/LP 1.0V (COM/IND) - Bitstream Disabled
        • CABGA256
      注意:在这个版本中,CrossLink-NX设备禁用了比特流生成,但LIFCL-40K的比特流预计将在即将到来的Service Pack (Radiant 2.1 SP1)中启用。
  • 工具和其他增强功能:
    • 物理设计师——物理设计师提供了一个中心位置,用户可以在那里进行所有的平面规划,并能够查看设计的物理布局。
    • FPGA库——新原语:
      • LFD2NX (CRE)。许可证控制。)
      • FIFO16K
    • Pin Migration -此版本增加了Pin Migration支持,允许用户查看与当前设备亚搏国际网址相同系列和包的设备,并查看不兼容的Pin。
    • 安全性- LFD2NX设备支持用户模式加密引擎(CRE)。亚搏国际网址
    • SystemVerilog支亚搏国际网址持如下:
      • 格合成引擎-阅读和合成SystemVerilog的能力。
      • 文件层次视图-能够读取和产生设计的层次文件视图。
      • 层次查看器-在设计约束编辑器,Netlist分析器,平面图视图中读取和生成层次视图的能力。
      • 显示-对显示控制器亚搏国际网址,显示分析仪和显示插入的SystemVerilog的支持。

辐射更新2.0.1

  • 设备支持:亚搏国际网址
    • CrossLink-NX™设备系列提供新的17K设备,并添加新的40K包:
      • 17K (-7/-8/-9) HP/LP 1.0V (COM/IND) - Bitstream disabled
        • CABGA256
        • CSFBGA121
        • QFN72
        • WLCSP72
      • 40k (-7/-8/-9) hp / lp 1.0v (com/ind)
        • CSFBGA121
        • CABGA256
  • 工具和其他增强/更新:
    • CrossLink-NX 40K设备的IP评估——如果你没有从“服务器上的IP”下载的软件知识产权(IP)的许可,你可以在设备重置自己之前,对这些软件IP进行大约4小时的评估。
    • 新增基础ip—新增4个基础ip:
      • 一维滤波器
      • 加法器的树
      • 桶移装置
      • DSP_Mult_Mult_Accumulate
    • 程序员增强了对安全特性的支持,包括Flash保护(128位设备密码)和AS亚搏国际网址-256加密和锁定。
    • 安全工具(密钥生成)-添加了一个新的辐射式比特流安全设置工具,允许您生成和验证用于比特流混淆的密钥。图形界面提供Flash保护(128位设备密码)和AES-256加密的用户入口。
    • sysCONFIG—为sysCONFIG添加了一个新的属性,CONFIGIO_VOLTAGE_BANK0/1。
    • 更新辐射教程交联- nx -更新教程已添加使用交联- nx评估板。

辐射2.0

  • 设备支持:亚搏国际网址
    • CrossLink-NX设备家族的以下包:
      • 40k (-7/-8/-9) hp / lp 1.0v (com/ind) - cabga400
      • 电压:40k (-7/-8/-9) hp / lp 1.0v (com/ind
      • 40k (-7/-8/-9) hp / lp 1.0v (com/ind) - qfn72
      点阵钻石用户点阵辐射软件指南已增强,以帮助用户迁移他们的设计到CrossLink-NX设备使用辐射软件。使用Lattice Diamond软件设计CrossLink和ECP5等Lattice设备的用户,可以使用本指南快速掌握CrossLink- nx设备新特性的概念,并使用Radiant软件进行设计。
  • 工具和其他增强功能:
    • 设备约束编辑器更新和增强已添加到设备约束编辑器。
    • ECO编辑器-添加了一个新的辐射软件工具,支持交互式工程更改顺序(ECO)编辑。亚搏国际网址
    • 平面布置图视图更新和增强已添加到平面图视图。更新包括一个新的I/O放置特性,用于I/O分配,如DDR接口、DQS和时钟分配。
    • IP目录更新和增强已经添加到模块。
    • 功率计算器-添加了更新和增强功能,以支持CrossLink-NX设备。亚搏国际网址
    • IP约束的传播-辐射软件现在支持IP应用中的分层约束,并写入亚搏国际网址一个新的约束文件,在预定义的约束设计规则下将较低层次的约束传播到顶层。yabovip888
    • 显示控制器-为CrossLink-NX家族添加了一个新的Radiant软件工具来创建虚拟控制开关/ led;对寄存器/存储器进行读写;对PLL、I2C/FIFO、DPHY、CDR和PCIe hard- ip的控制寄存器和状态寄存器进行读写访问。
    • 运行经理-增加了一个新的辐射软件工具,用于运行多个综合和地点和路线通道,比较多个实现的结果,以进一步分析,以获得最佳的解决方案。
    • 源模板新的CrossLink-NX模板已经为Verilog和VHDL在源模板中添加。在源模板编辑器中,请参见:
      • Verilog > Primitive Templates > lifcl Primitive
      • VHDL >基元模板> lifcl基元
    • 同步开关输出(SSO)计算器-增加了一个新的辐射软件工具,可以根据攻击者引脚的开关特性估计影响受害者引脚的同步开关噪音(SSN)。
    • 时间约束的编辑更新和增强已添加到计时约束编辑器。

辐射1.1

  • iCE40 UltraPlus设备增强和bug修复
    • 新的HDL属性RGB_TO_GPIO。
    • 添加了四个新的iCE40 UltraPlus比特流策略选项:
      • 使热启动
      • 设置所有未使用的IO无上拉
      • 设置NVCM安全
      • SPI Flash低功耗模式
  • 增强知识产权(IP)工具和流程
  • 约束语法和流更新
    • 时间限制:增加了对象访问命令(-of_objects)支持,允许灵活和有效的对象访问。亚搏国际网址注意,此选项仅在辐射软件1.1的约束文件中支持。亚搏国际网址在Radiant 1.2中,预计图形用户界面将支持亚搏国际网址此选项。
    • 物理约束:在ldc_prohibit约束中增加了-re亚搏国际网址gion选项支持。在ldc_set_location中也支亚搏国际网址持此选项。
    • 时间约束的编辑
      • 添加set_load约束
      • 添加了禁用/启用复选框,允许您轻松禁用或启用约束。
  • 工具和其他增强
    • 从计时报告交叉探测计时路径.地图和PAR计时报告现在有超链接,允许用户在Netlist Analyzer、物理视图和平面图视图中查看计时路径。
    • 可拆卸的工具窗口.已为所有工具和视图添加了分离和附加功能,允许用户在Radiant软件环境之外使用工具。
    • 晶格合成引擎(LSE).从辐射软件1.0到LSE有显著的性能改进,包括:
      • 改进嵌入式块RAM (EBR)、有限状态机(FSM)和数字信号处理器(DSP)提取。
      • 区域执行和运行时间的改进。
    • 功率估计量.增加了一个新的独立功率估计器。
    • 模拟向导.模拟向导已更新,以支持合成后模拟。亚搏国际网址
    • 源模板.添加了一个新的Source Template选项卡,使访问各种模板变得更容易,而不需要运行Source Editor。模板的选择得到了增强。可用的模板,在VHDL和Verilog,包括:
      • 常见的模板
      • PMI模板
      • 原始的模板
      • 属性模板
      • 加密模板
      • 时间限制
      • 物理约束
    • Ubuntu操作系统.亚搏国际网址增加对Ubuntu操作系统LTS 16.4的支持

辐射1.0 SP1

  • 如果用户在其设计中使用LVDSE IO类型,则使用此服务包重新编译。
  • 如果在LSE综合报告文件的Area Report中指出了CCU2原语,那么就有可能从进位链优化得到不正确的综合结果。建议使用此服务包重新编译设计,以避免模拟和/或硬件操作失败。此修复仅适用于LSE。
  • 修复了其他几个关键客户缺陷,解决了与地图、地点和路线相关的不稳定性。

辐射1.0

  • 使用流行的SDC格式的标准化时间和物理约束,帮助您轻松地将约束应用到设计中。
  • 从综合到地点和路线的统一静态时序分析,加速设计时序关闭。
  • 增强的IP安全流程和生态系统,允许有效分发软IP和提高第三方软IP安全。
  • 新的和简化的GUI设计,可选择浅色或深色主题。
  • 简化和有效的设计流程和工具,以提高易用性。
像大多数网站一样,我们使用cookie和类似的技术来增强用户体验。我们也允许第三方在我们的网站上放置cookie。继续使用本网站,即表示您同意使用我们所述的cookie饼干的政策